Verilog

来自开放百科 - 灰狐
(版本间的差异)
跳转到: 导航, 搜索
(链接)
第1行: 第1行:
 
{{SeeWikipedia}}
 
{{SeeWikipedia}}
  
Verilog是一种用于描述、设计电子系统(特别是数字电路)的硬件描述语言,用于集成电路设计(特别是超大规模集成电路的计算机辅助设计)的电子设计自动化领域,Verilog是电气电子工程师学会(IEEE)的1364号标准。
+
Verilog
 +
 
 +
==简介==
 +
Verilog 是一种用于描述、设计电子系统(特别是数字电路)的硬件描述语言,用于集成电路设计(特别是超大规模集成电路的计算机辅助设计)的电子设计自动化领域,Verilog 是电气电子工程师学会(IEEE)的1364号标准。
 +
 
 +
Verilog 原本是用来仿真和验证数字电路的,随着综合功能的加入,Verilog 成为 CAD 系统中一种广泛采用的设计输入方式。CAD 工具将 Verilog 代码综合成所描述电路的硬件实现。
 +
 
 +
==功能==
 +
 
 +
==指南==
 +
 
 +
==项目==
 +
 
 +
==图集==
  
 
==链接==
 
==链接==
第7行: 第20行:
 
*[http://www.verilog.com/IEEEVerilog.html IEEE Verilog Standardization Group]
 
*[http://www.verilog.com/IEEEVerilog.html IEEE Verilog Standardization Group]
  
 +
[[category:HDL]]
 
[[category:programming language]]
 
[[category:programming language]]
 
[[category:FPGA]]
 
[[category:FPGA]]
 
[[category:hardware]]
 
[[category:hardware]]
 +
[[category:CAD]]
 +
[[category:EDA]]

2022年5月24日 (二) 05:33的版本

Wikipedia-35x35.png 您可以在Wikipedia上了解到此条目的英文信息 Verilog Thanks, Wikipedia.

Verilog

目录

简介

Verilog 是一种用于描述、设计电子系统(特别是数字电路)的硬件描述语言,用于集成电路设计(特别是超大规模集成电路的计算机辅助设计)的电子设计自动化领域,Verilog 是电气电子工程师学会(IEEE)的1364号标准。

Verilog 原本是用来仿真和验证数字电路的,随着综合功能的加入,Verilog 成为 CAD 系统中一种广泛采用的设计输入方式。CAD 工具将 Verilog 代码综合成所描述电路的硬件实现。

功能

指南

项目

图集

链接

分享您的观点
个人工具
名字空间

变换
操作
导航
工具箱