Hardware description language

来自开放百科 - 灰狐
(版本间的差异)
跳转到: 导航, 搜索
(图集)
(语言)
第18行: 第18行:
 
*[https://standards.ieee.org/ieee/1076/5179/ VHDL] VHSIC Hardware Description Language (VHDL) 超高速集成电路硬件描述语言
 
*[https://standards.ieee.org/ieee/1076/5179/ VHDL] VHSIC Hardware Description Language (VHDL) 超高速集成电路硬件描述语言
 
*[[Verilog]]
 
*[[Verilog]]
*[https://ieeexplore.ieee.org/document/8299595 SystemVerilog]
+
*[[SystemVerilog]]
 
*[[SystemC]]
 
*[[SystemC]]
 
*[[GHDL]]
 
*[[GHDL]]

2022年5月24日 (二) 23:36的版本

Wikipedia-35x35.png 您可以在Wikipedia上了解到此条目的英文信息 Hardware description language Thanks, Wikipedia.

硬件描述语言(hardware description language, HDL)

目录

简介

硬件描述语言(HDL)的行为级建模是现代专用集成电路(ASIC)和现场可编程门阵列(FPGA)设计的关键。

VHDL 是一种对数字硬件系统进行设计和建模的语言。

其中,基于 Ada 语言的 VHDL 和基于 C 语言的 Verilog 最为流行。

功能

指南

语言

项目

图集

链接

分享您的观点
个人工具
名字空间

变换
操作
导航
工具箱