Hardware description language

来自开放百科 - 灰狐
跳转到: 导航, 搜索
Wikipedia-35x35.png 您可以在Wikipedia上了解到此条目的英文信息 Hardware description language Thanks, Wikipedia.

硬件描述语言(hardware description language, HDL)

目录

简介

硬件描述语言(HDL)的行为级建模是现代专用集成电路(ASIC)和现场可编程门阵列(FPGA)设计的关键。

VHDL 是一种对数字硬件系统进行设计和建模的语言。

其中,基于 Ada 语言的 VHDL 和基于 C 语言的 Verilog 最为流行。

用于 FPGA 编程的主流 HDL:

  • Lucid 这种语言是专门针对 FPGA 设计的,可弥补 Verilog 等一些更早语言的不足。
  • VHDL:超高速集成电路 (VHSIC) 硬件描述语言的首字母缩写,该语言最早出现在 20 世纪 80 年代,主要基于 Ada 和 Pascal。
  • Verilog:Verilog 是有史以来创建的第一款 HDL,今天主要用于测试分析与验证。该语言的内核基于 C 语言。

功能

指南

语言

项目

图集

链接

分享您的观点
个人工具
名字空间

变换
操作
导航
工具箱